期刊在线咨询服务,发表咨询:400-888-9411 订阅咨询:400-888-1571股权代码(211862)

期刊咨询 杂志订阅 购物车(0)

数字电路论文模板(10篇)

时间:2023-03-17 18:11:13

数字电路论文

数字电路论文例1

引言

三电平(ThreeLevel,TL)整流器是一种可用于高压大功率的PWM整流器,具有功率因数接近1,且开关电压应力比两电平减小一半的优点。文献[1]及[2]提到一种三电平Boost电路,用于对整流桥进行功率因数校正,但由于二极管整流电路的不可逆性,无法实现功率流的双向流动。文献[3],[4]及[5]提到了几种三电平PWM整流器,尽管实现了三电平,但开关管上电压应力减少一半的优点没有实现。三电平整流器尽管比两电平整流器开关数量多,控制复杂,但?具有两电平整流器所不具备的特点:

1)电平数的增加使之具有更小的直流侧电压脉动和更佳的动态性能,在开关频率很低时,如300~500Hz就能满足对电流谐波的要求;

2)电平数的增加也使电源侧电流比两电平中的电流更接近正弦,且随着电平数的增加,正弦性越好,功率因数更高;

3)开关的增加也有利于降低开关管上的电压压应力,提高装置工作的稳定性,适用于对电压要求较高的场合。

1TL整流器工作原理

TL整流器主电路如图1所示,由8个开关管V11~V42组成三电平桥式电路。假定u1=u2=ud/2,则每只开关管将承担直流侧电压的一半。

以左半桥臂为例,1态时,当电流is为正值时,电流从A点流经VD11及VD12到输出端;当is为负值时,电流从A点流经V11及V12到输出端,因此,无论is为何值,均有uAG=uCG=+ud/2,D1防止了电容C1被V11(VD11)短接。同理,在0态时,有uAG=0;在-1态时,有uAG=uDG=-ud/2,D2防止了电容C2被V22(VD22)短接。

右半桥臂原理类似,因此A及B端电压波形如图2所示,从而在交流侧电压uAB上产生五个电平:+ud,+ud/2,0,-ud/2,-ud。

每个半桥均有三种工作状态,整个TL桥共有32=9个状态。分别如下:

状态0(1,1)开关管V11,V12,V31,V32开通,变换器交流侧电压uAB等于0,电容通过直流侧负载放电,线路电流is的大小随主电路电压us的变化而增加或减小。

状态1(1,0)开关管V11,V12,V32,V41开通,交流侧输入电压uAB等于ud/2,输入端电感电压等于us-u1。电容C1电压被正向(或反向)电流充电(u1<us,或放电us<u1),C2通过直流侧负载放电。

状态2(1,-1)开关管V11,V12,V41,V42开通,输入电压uAB=ud,正向(或反向)电流对电容C1及C2充电(或放电),由于输入电感电压反向,电流is逐渐减小。

状态3(0,1)开关管V12,V21,V31,V32开通,交流侧输入电压uAB等于-ud/2,输入电感上电压等于us+u1。电容电压被正向(或反向)电流充电(或放电)。

状态4(0,0)开关管V12,V21,V32,V41开通,输入端电压为0,电容通过直流侧负载放电,线路电流is的大小随主电路电压us的变化而增加或减小。

状态5(0,-1)开关管V12,V21,V41,V42开通,交流侧电压为ud/2,正向(或反向)电流对电容C2充电(或放电),电容C1通过负载电流放电。

状态6(-1,1)开关管V21,V22,V31,V32开通,uAB=-ud,正向(或反向)线电流对两个电容C1及C2充电(或放电),由于升压电感电压正向,线电流将逐渐增加。

状态7(-1,0)开关管V21,V22,V32,V41开通,交流侧电压电平为-ud/2,正向(或反向)电流对电容C2充电(或放电),电容C1通过负载电流放电。

状态8(-1,-1)开关管V21,V22,V41,V42开通,输入端电压为0,升压电感电压等于us,两个电容C1及C2均通过负载电流放电。电流is根据电压us的变化而增加(或减小)。

2硬件电路设计

从图2可以看出,在输入电压频率恒定的情况下,要在变换器交流侧产生一个三电平电压波形,输入电压一个周期内应定义两个操作范围:区域1和区域2,如图3所示。

在区域1,电压大于-ud/2,并且小于ud/2,在电压uAB上产生三个电平:-ud/2,0,ud/2。同理,在区域2,电压绝对值大于ud/2,并小于直流侧电压ud,在电压正半周期(或负半周期)上产生两个电平:ud/2和ud(或-ud/2和-ud)。相应电平的工作区域如表1所列。

表1相应电平的工作区域

工作区域

1

2

1

2

us>0

us<0

us>0

us<0

高电平

ud/2

ud

-ud/2

低电平

-ud/2

ud/2

-ud

为方便控制,这里定义两个控制变量SA及SB,其中

根据表1可以设计一个开关查询表,如表2所列,将其存储在DSP中,当进行实时控制时,便可根据输入电压、电流信号,从表中查询所需采取的开关策略。

表2查询表

SA

SB

V11

V12

V21

V22

V31

V32

V41

V42

uAB

1

1

1

1

1

1

1

1

1

1

1

ud/2

1

-1

1

1

1

1

ud

1

1

1

1

1

-ud/2

1

1

1

1

-1

1

1

1

1

ud/2

-1

1

1

1

1

1

-ud

-1

1

1

1

1

-ud/2

-1

-1

1

1

1

1

整个控制系统以一片DSP为核心,控制框图如图4所示。

锁相环电路产生一个与电源电压同相位的单位正弦波形,ud的采样信号通过低速电压外环调节器进行调节,电流is的采样信号通过高速电流内环G1进行调节,电容C1端直流电压u1与电容C2端直流电压u2分别通过两个PI调节器进行调节,补偿环G2用于补偿两只电容电压的不平衡。

检测的线电流命令is与参考电流is*比较,产生的电流误差信号送至电流内环G1,以跟踪电源电流变化,产生的线电流波形将与主电压同相位。

3软件设计

系统采用两个通用定时器GPT1及GPT2来产生周期性的CPU中断,其中GPT1用于PWM信号产生、ADC采样和高频电流环控制(20kHz),GPT2用于低频电压环的控制(10kHz),两者均采用连续升/降计数模式。低速电压环的采样时间为100μs,高速电流环采样时间为50μs。中断屏蔽寄存器IMR,EVIMRA和EVIMRB使GPT1在下降沿和特定周期产生中断,GPT2则仅在下降沿产生中断。

整个程序分为主程序模块、初始化模块、电流控制环计算模块、电压控制环计算模块、PWM信号产生模块等五大部份。程序流程如图5所示。

4仿真结果及实验

数字电路论文例2

将EDA技术最新的科研成果融于课堂教学中,这也是数字电路教学革新最基本的思路,呈现课堂教学方式现代化,并构建非常先进的教学平台以及现代化课堂教学环境。以现代化教学平台为基础,展开课堂教学内容以及方式的革新,构建能提升学生创新精神与能力良好培养的课堂。数字电路课程理论性教学内容是讲关于集成度的,该标准逻辑器件是中小规模集成电路。因为标准逻辑器件所设计的传统数字体系是使用自下向上的设计方式,总体所需的器件较多,并且其电路连接非常复杂,对应的可靠性也不高。用户不能对相关器件功能进行修改,这也就促使修改体系设计难度提升。

(二)教学内容及方式的创新

近年来,电子技术以及计算机技术发展飞速,随着EDA技术的出现及不断应用,促使数字体系设计进入新的阶段。实际上基于标准逻辑器件数字电路有着诸多缺陷,不过运用其展开相关教学也仍是有好处的,其能促使学生很好的了解掌握对应基本原理及知识,教学者也能够很好的向学生讲解其组合逻辑电路与时序逻辑电路的最原始电路,并合理的讲述与其对应的分析及设计方式。想要帮该专业学生打下稳固的基础,还要掌握该学科的最新研究成果及发展趋势,并合理的结合科研成果进行课堂调整及教学内容优化,找寻可行性较高的实施方法以及教学方式。将各类分类元件及较小规模的集成电路内容进行合理精简,重点讲述中规模的对应集成电路和运用,将EAD技术学习列为重点,并将其软件融入课堂教学过程中,进行现场仿真直接性概念演示、分析进程及验证、设计结果,很好的激发学生的学习兴趣并提升教学效果。全方位的引进多媒体教学,并将其与传统方式合理有效的结合,呈现优势互补的良好效果。

二、革新课堂教学理念并确立教学新目标

(一)课堂教学新理念

古往今来,我国的传统教学模式就是以教师为中心,课堂教学大多是理论性教学,内容均是需要验证的。教师可以在课堂上进行实验,给学生一定的实验步骤以及电路图,学生们则是按部就班的验证其对应结果,这样根本激发不出学生的学习兴趣以及积极性,致使其不能将所学的知识综合运用,不能全方位的分析及解决问题。高等教育若是不能满足学生的所有需求,就不能很好的发挥其自身创造力,这样高等教育发展则会停滞。以教师为中心的教学模式不能长此已久,需要全方位的模式革新,务必要将学生转移至模式中心,扼制被动式教学,呈现学生主动式学习的良好模式,构建以学生为中心教师为主导,并着重于学生实践创新能力培养。这样充分的发挥学生的积极性、创造性,提升高等教育的发展。

(二)课堂教学新目标

应不断的强化基础、拓展知识层面、持续提升学生自主学习以及创新能力、发展其个性,并对学生进行因材施教与分流培养,展开启发式创新及各类实验合理结合,软硬件结合、课内外结合、拓展实验性教学,不断培养学生运用现代化的各类设计工具,持续提升学生管理较大规模复杂体系的能力。教育创新的核心力量是教师,务必要在整体教学过程中不断培养学生创新意识、思维以及能力,并很好的激发学生能够提出问题、分析问题以及解决问题,培养这类内在动机的良好形成,促使学生学习自主性及创造性的形成,并运用各类机会指导学生参与并实验,持续培养学生发现问题、分析问题、处理问题的能力。

三、运用多样教学方式

(一)启发教学

问题是学生思维开启的关键,教学者应该通过对应教学情景创设来很好的引导学生进行问题的发现、分析以及处理,在寻找答案的过程中不断提升学生综合能力。启发式教学对新课程的引入最有效,也是应用最广泛的。

(二)互动教学

互动教学有别于传统的教学模式,该方式主要是突出师生之间的互动和学生创造力的良好培养。从学生进入高等教育之后,对应的教学模式以及课程安排是不同于中学时期的,尤其是数字电路基础课程,其授课时间以及间隔时间较长。讲课中教学者及学生互动不到位,学生则会因为课程时间较长从而出现怠学的心理,进而忽略了较为重要的相关知识点,长此已久学生就会因为学不会而出现厌学情况。所以教学者应注重课堂之上与学生的互动,要留有一定的时间让学生进行相关思考、讨论、分析以及总结,促使学生学习主动性的发挥。

(三)任务驱动法

数字电路基础课程最关键的就是实践,所以对于数字电路基础课程教学来讲任务驱动法是个很实用的方式,将各个重要的知识点巧妙的设计成不同类型的小任务,这样学生就知道自己是带着学习任务的,也知道自己要完成怎样的学习目标。教学者在设置学习任务时要保证任何一个任务都是包含着新旧知识以及技能的,进而很好的将学生的学习积极性激发,并保持着这样积极的学习热情。

(四)类比教学

数字电路基础课程会有比较抽象的知识概念,学生们要是没有对应的理论知识基础以及空间想象力,这就在一定程度上影响了学生的深入理解。所以教学者应运用适应的教学方式来辅助学生强化基础性概念理解,这样来讲类比法则是非常有效的一种教学方式,其是将学生要学习的各个知识点及平常生活巧妙的联系,学生则可以通过对比及比较发现相应概念的内在规律,进而很好的激发学生对学习的兴趣。

数字电路论文例3

2数字脉宽调制

作为逆变电路的核心,输入信号经脉宽调制器与给定值比较后,转变为具有一定占空比的脉冲信号输出并驱动电路,进而对整个逆变电源的输出进行调整和控制。数字信号处理器中自带有脉宽调制模块,该模块中具有8个I/O引脚,组成编号为PWM1H/PWM1L、PWM2H/PWM2L、PWM3H/PWM3L、PWM4H/PWM4L的4个高/低端引脚对,并分别由4个占空比发生器控制。I/O引脚对低端与高端的状态在负载互补时恰好相反。脉宽调制模块具有4种工作模式,能够实现有限双极性控制。数字脉宽调制流程如图3所示,其工作模式由脉宽调制时基控制寄存器设定。引脚对PWM1H/PWM1L设置为递增/递减模式时,可以控制全桥逆变器中的晶体管IGBT2和IGBT4;引脚对PWM2H/PWM2L设置为双更新模式时,可以控制全桥逆变器中的晶体管IGBT1和IGBT3。无论何种工作模式,脉宽调制的定时周期均通过控制寄存器实现。IGBT2和IGBT4的占空比由占空比寄存器1设定,并在有限双极性控制模式下设置为1;IGBT1和IGBT3的占空比由占空比寄存器2设定,并在有限双极性控制模式下不断更新,其更新数据由PI控制模块根据反馈电流或电压计算得到。脉宽调制时基控制寄存器的值在实时控制过程中不断增加,并不断与占空比寄存器的值进行比较,直至两者相等时输出脉宽调制信号,并通过设置置位比较控制寄存器将输出信号分为低有效和高有效。通过设置脉宽调制模块自带死区时间发生器的控制位,可以为PWM1H/PWM1L的死区时间设置插入位置和大小。2.3PI调节对于对象为惯性环节或滞后环节的连续控制系统,理想的控制方法是比例+积分(PI)控制,以保证系统稳定后不会出现稳态误差。由于高频逆变电源的对象为二阶惯性环节,因此适于采用增量式PI控制[5]。在由数字信号处理器控制的逆变电路中,采用软件得到的高频方波信号具有精准的占空比和频率,如图4所示。图中Ig和If分别为基准电流和实测电流,e为两者的差值,即电流偏差,Ig为数字信号处理器产生的方波电流。PI调节的执行机构和控制对象分别为脉宽调制模块和全桥逆变电路。即将电流偏差e输入PI控制器,由脉宽调制模块输出脉冲信号,以调节逆变电路的交替接通,进而控制电流。

数字电路论文例4

1概述

频率合成技术是近代无线电技术发展中的一门新技术,也是现代通信系统中的关键技术之一,它通常利用一块晶体或少量晶体组成标准频率源,然后通过合成方法产生各种所需的频率信号。这些频率信号与标准频率源具有相同的频率稳定度和准确度。使用该技术构成的电路在通信设备中称为频率合成器。频率合成器的种类很多,目前普遍采用的是数字式频率合成器。数字式频率合成器由晶体振荡器、固定分频器、鉴相器、滤波器和VCO等组成,晶体振荡器输出的频率信号经固定分频器后得到标准频率,而VCO输出的频率信号经可变分频器分频后得到实际频率信号,两信号在鉴相器中经相位比较产生的环路锁定控制电压将通过滤波器加到VCO上,以对实际频率信号进行控制和校正,直到环路锁定。当所需信号频率较高时,该电路的设计、制作和调试难度较大,通常只能依靠专业厂家来完成,不仅成本高,而且生产周期长。TSA5526芯片是Philips公司推出的通用数字频率合成集成电路,它将晶体振荡器、固定分频器、鉴相器、滤波器等电路集成在一块芯片上,其主要特性参数如下:

输入射频信号的频率为:64~1300MHz;

输入射频信号的电平为:-28~3dBm;

输出误差调整电压为:4.5~33V;

具有锁定检测功能;

内置可编程的15bit分频器;

通过程序控制可在512、640和1024中选择基准信号分频比,在外接4MHz晶振时,则可获得3.90625kHz、6.25kHz和7.8125kHz的频率精度;

可选择I2C总线和3总线进行数据传输;

采用单电源供电,电源电压为4.5~5.5V。

2引脚功能

TSA5526有SSOP16和SO16两种封装,引脚排列如图1所示,各引脚功能见表1所列。

表1TSA5526的引脚功能

引脚名称功能应用说明

1RF射频信号RF输入通常接本振输出

2VEE地

3VCC1电源电压1芯片电源,接+5V

4VCC2电源电压2开关控制电源,通常接+12V

5BS4电子开关BS4输出PNP三极管OC输出

6BS3电子开关BS3输出PNP三极管OC输出

7BS2电子开关BS2输出PNP三极管OC输出

8VS1电子开关BS1输出PNP三极管OC输出

9CP环路滤波器外接RC滤波网络

10Vtune误差控制电压输出通过上拉电阻输出直流电压并加到VCO

11SW总线选择开关接地时选择I2C总线方式;悬空时选择3总线方式

12LOCK/ADC锁定标志/ADC输入3总线方式时为锁定标志,低电平有效;I2C总线方式时5为电平ADC输入端

13SCL串行时钟下降沿时将SDA输出的数据锁存

14SDA串行数据在3总线方式时,18bit、19bit和27bit三种数据可供选择

15CE片选信号高电平有效

16XTAL基准振荡输入通常外接4MHz晶体

表2写状态数据格式

字节MSB数据字节LSB

地址字节(ADB)11000MA1MA0

分频字节(DI1)0N14N13N12N11N10N9N8

分频字节2(DB2)N7N6N5N4N3N2N1N0

控制字节(CB)1CPT2T1T0RSARSB0S

电子开字节(BB)空空空空BS4BS3BS2BS1

3内部结构和工作原理

TSA5526的内部结构框图如图2所示,它包括射频信号处理单元、基准信号处理单元、相位比较和输出单元以及接口控制单元等四部分。射频信号处理单元对输入的射频小信号进行放大和8分频,再送到15bit可编程分频器,分频比的大小可根据输入射频信号的频率来确定。基准信号处理单元中的基准振荡器通过外接晶体产生基准信号,同时经基准分频器产生基准信号。基准分频器通过编程可选512、640和1024三种分频比。经过分频处理后的两路信号同时加到数字式相位比较器,然后经电荷泵、放大器和驱动三极管后得到误差控制电压输出。接口控制单元用于实现微处理器与该器件的通信,它一方面接收微处理器送来的数据并在内部处理以形成各种控制指令;另一方面将本器件的状态送往微处理器。通过SW端信号的不同连接,可选择两种串行通信方式:I2C总线方式和3总线方式。

图2

3.1I2C总线方式

a.写状态R/W=0

在写状态时,对TSA5526编程需要四个数据字节,并应在地址字节传输后将数据字节送入芯片。当地址字节第一字节传输后,I2C总线的收发会使地址字节和数据字节连在一起,并在一个传输过程中传输完毕。如果地址字节后的第一个数据字节为分频字节或控制字节,则芯片将被部分编程。表2是其数据字节定义。表中,MA1和MA0是可编程地址位,用于控制加到片选端的电压。N14~N0为可编程分频比,其分频比为:

N=N14×214+N13×213+…+N1×2+N0

CP为控制电荷泵电流大小位,CP为0,对应电流为60μA,CP为1时,电流为280μA缺省值。T2~T0代表测试位。RSA和RSB为基准分频比选择位。0S为可调放大器控制位,0S位为0时,可调放大器接通缺省值,0S位为1时断开。BS4~BS1是PNP电子开关控制位,其对应关系是:当BSn为0时,电子开关n接通;当BSn为1时,电子开关n断开。

表3读状态数据格式

字节MSB数据字节LSB

地址字节11000MA1MA2R/W=1

状态字节PORFLACPS11A2A1A0

表43总线方式数据格式

数据形式D0D3D4D17D18D19D20D21D22D23D24D25D26

18位BS4BS1N13N0

19位BS4BS1N14N1N0

27位BS4BS1N14N1N0-CPT2T1T0RSARSB0S

b.读状态R/W=1

表3所列为读状态数据格式。当辅助地址位被识别之后,将自动产生一个响应脉冲到SDA线上。SDA线上的数据在SCL时钟信号为高电平时有效,数据字节在SDA线上产生应答信号之后从器件中读出;如果没有主应答信号产生,传输过程就会结束,此时芯片将释放数据线从而使微控制器产生终止条件。当上电时,POR标志被置为1,当检测到数据结束标志时,POR标志被复位读周期的结束。FL为进入锁存标志,用于表示何时循环建立起来。通过对FL置1或清零可对循环进行控制。ACPS为自动充电电流转换标志,当自动充电电流转换打开且循环锁定时,此标志为0,此时充电电流被强制为低。在其它条件下,ACPS为逻辑1。在I2C总线状态下,内置的A/D转换器可将自动频率微调模拟电平转换成数字量并送往微控制器。

3.23总线方式

在3总线方式下,该器件接收的数据有18位、19位和27位三种,参见表4。在该方式下,当片选引脚CE由低电平变为高电平时,SCL引脚输入时钟脉冲的下降沿会将SDA引脚上的数据送入数据寄存器,数据的前四位用来控制电子开关的通断,在第五个时钟脉冲的上升沿,这四位数据被送入内部电子开关控制寄存器。如果传输的是18或19位数据字,那么,在片选线上电平由高向低转换时,频率位将被送入频率寄存器。在上电复位状态下,电荷泵电流为280μA,调谐电压输出被关断;而在标准模式下,当ACPS标志为高电位时,测试位T2~T0被置为001,此时将禁止TSA5526输出。当传输的是27位数据字时,在时钟脉冲的第20个上升沿到来时,频率位将被送入频率寄存器,而控制位则在片选引脚CE从高电平向低电平转换时送入控制寄存器。在这种方式下,基准分频比由RSA和RSB位确定,测试位(T2、T1、T0)、电荷泵控制位CP、分频比选择位(RSA、RSB)以及0S位只能进行27位的传输。图3所示是3总线方式时的时序图。

表5AT89C51内RAM中20H、21H、22H、23H的定义

字节地址D7D6D5D4D3D2D1D0

20HBS4BS3BS2BS1N14N13N12N11

21HN10N9N8N7N6N5N4N3

22HN2N1N011000

23H01000000

4应用

TSA5526在某航空电子设备检查仪中的应用电路如图4所示,图中,单片机与TSA5526采用3总线方式进行通信。P1.0与SCL引脚相连,用于串行时钟输出。P1.1与SDA引脚相连,用于串行数据输出。P1.2与CE引脚相连以进行片选控制;电子开关BS1~BS4用于通过VCO产生4种不同频率信号,VCO的输出将通过C6送到TSA5526的RF引脚,并经分频后与基准信号进行相位比较。Vtune输出的误差控制电压经电阻R3、电容C5加到VCO。R1、C4的数值可用于决定微调的快慢。当频率锁定后,LOCK引脚将变为低电平,并将该电平通过AT89C51的P1.3引脚送入单片机进行检测。本电路采用27位数据格式,发送的数据存放在单片机AT89C51中RAM的20H、21H、22H、23H四个单元中,各位定义见表5所列。其具体程序清单如下:

Rfegadj:CLRP1.0

SETBP1.2

MOVR0,#08H

Fregadj1:MOVA,20H

CLRC

RRCA

MOVP1.1,C

SETBP1.0

NOP

CLRP1.0

DJNZR0,Fregadj1

MOVR0#08H

Fregadj2:MOVA,21H

CLRC

RRCA

MOVP1.1,C

SETBP1.0

NOP

CLRP1.0

DJNZR0,Fregadj2

MOVR0,#08H

Fregadj3:MOVA,22H

CLRC

RRCA

MOVP1.1,C

SETBP1.0

NOP

CLRP1.0

DJNZR0,Fregadj3

MOVR0,#03H

Fregadj4:MOVA,23H

CLRC

RRCA

MOVP1.1,C

SETBP1.0

NOP

数字电路论文例5

中图分类号:G431文献标识码:A文章编号文章编号:1672-7800(2013)012-0200-02

作者简介:孙利华(1979-),女,硕士,中国地质大学江城学院讲师,研究方向为电子和EDA技术。

0引言

数字电子技术是高等院校电子信息、通信、自动化类专业的一门学科基础课,实用性很强[1]。该课程的教学目标是让学生理解数字电路的工作原理与逻辑功能,掌握数字电路的分析与设计方法,最终能根据要求设计出较合理的电路。所以,该课程既包含了逻辑性强的理论又包含了很多具体实践应用环节。在讲授数字电子技术时要特别注意理论与实践教学结合,但实际教学中受实验硬件条件的限制,实验课课时安排较少或时间安排不合理,无法做到老师讲的同时让学生操作,使学生缺乏对基本原理和概念的直观认识。Multisim 软件为数字电子技术课程教学提供了一个很好的平台,可作为传统教学手段的有力补充。借助Multisim 软件对数字电路工作进行仿真演示,使理论和实践教学内容更加紧密地结合起来,既可以提高学生的学习兴趣,又能帮助学生更好地掌握数字电子技术的基本理论,为后续课程打下坚实的基础。

1Multisim10概述

Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。Multisim向用户提供一个全方位功能强大的电子虚拟实验平台[2]。软件自带了型号丰富的元件库和功能齐全外形逼真的各类主流虚拟仪器,可完成对模拟电路、数字电路、单片机电路的设计与仿真调试,用户只需轻点鼠标即可观看到逼真的电路运行。该软件简单易学,利于激发学生的学习兴趣,目前已被广泛应用到各高校电子类课程的教学中,取得了良好的教学效果。

2Multisim10 在数字电子技术理论教学环节中的应用

数字电子技术理论教学目的是帮助学生掌握数字电子技术的基本概念和理论。传统教学方式采用多媒体课件加板书,学生缺乏对数字电路的直观认识,教学效果欠佳。特别是在讲授编码器、竞争与冒险、触发器等难以理解的内容时,学生会因为不理解,要么死记硬背,要么丧失学习兴趣。若引入Multisim软件进行仿真,可以帮助学生更好地理解概念。

基本RS触发器是进入时序电路学习的第一个内容,是学好时序电路的关键,但学生往往难以理解基本RS触发器的工作过程,特别是触发器“不定”的工作状态。教师可以在Multisim软件中搭建如图1所示电路,由两个与非门构成基本RS触发器[3],借助小灯泡的亮与灭来演示RS触发器的“置1态”、“置0态”、“不变”和“不定”四种状态。其中,当R、S均置0时,触发器的输出都为1,两个灯泡都等于1,当R、S都回到1时,两只灯泡则不停地交错闪烁,可以告诉学生这就是“不定”的状态,让学生对该状态有了直观认识,帮助他们理解和记忆触发器的工作原理。

3Multisim10在数字电子技术实践教学环节中的应用

把Multisim10应用到实践教学环节中可以开展一些学校实验室因为实验设备、经费等方面原因无法开展的实验;可以避免真实实验操作可能带来的未知风险;可以提高实践环节中实物搭建电路的成功率,降低仪器和元件的损坏率。

3.1验证性实验

验证性实验一般是让学生在试验箱上验证数字电路的工作原理,以加深对基本概念的理解。试验箱上已集成好所有元器件,学生要做的工作就是根据实验指导书用导线把器件连接起来,往往是电路接了一遍,仍然不了解工作原理。若能在使用试验箱前先在Multisim

中对电路进行仿真,有助于学生理解电路的原理,不仅了解应该怎么接电路,还能知道为什么这么接。以集成计数器74LS190逻辑功能验证实验为例,可以在实验前让学生在仿真软件中搭建如图2所示电路。当把开关E置为0,F置为1时,电路实现十进制的加法计数器的功能。通过电路仿真可以帮助学生了解74LS90芯片各引脚的功能,知道每个引脚应该如何接进电路,以及共阳极和共阴极数码管的区别,还可借助如图3所示逻辑分析仪仿真结果理解74LS90的QA、QB、QC和QC与时钟信号的对应关系。教师可以把仿真软件中的电路、虚拟仪器和试验箱上的元器件、仪器结合起来讲解,可提高学生在试验箱上搭建电路的成功率,降低元件的损坏率。

3.2设计性实验

在理论教学和验证性实验之后会安排设计性实验教学环节,也就是课程设计。一般要求学生根据设计要求,利用所学过的数字电路的设计与分析方法,选择合适的芯片,搭建电路并制作出实物。例如,设计一个汽车尾灯控制电路,要求:①假设汽车尾灯部左右两侧各3个指示灯(用发光二极管模拟);② 汽车正常运行时指示灯全灭;③右转弯时,右侧3个指示灯按右循环顺序点亮;④左转弯时,左侧3个指示灯按左循环顺序点亮;⑤临时刹车时,所有指示灯同时点亮。学生拿到设计题目后,可查阅资料,首先在软件中搭建出电路,如图4所示,进行仿真以检验设计是否满足题目要求,仿真结果达到要求后再利用实物焊接在实验板上。该方式既能提高学生的电路设计能力,又可激发学生的创新精神,真正达到设计性实验的目标。

4结语

教学实践证明, 将仿真软件引进数字电子技术的理论和实践教学中, 可以把抽象的理论通过软件搭建的电路形象化,许多普通高校实验室中不易接触到的仪器设备可以方便地从软件中选用, 从而增强课堂教学的直观性和生动性, 加深学生对基本概念、原理的理解[3],提升学生学习数字电子技术的兴趣和积极性, 培养创新精神,为后续专业课学习打下坚实的基础。

参考文献参考文献:

[1]郭映.Multisim仿真软件在数字电路教学中的应用[J].计算机与现代化,2010(7).

[2]张新喜.Multisim 10电路仿真及应用[M].北京:机械工业出版社,2010.

[3]康华光.电子技术基础(数字部分)[M].北京:高等教育出版社,2000.

[4]李若琼.Multisim在 “电工技术”教学中的应用[J].电子科技,2011,24(2).

数字电路论文例6

根据高等职业教育培养目标的要求,结合教育部大力推行的高职高专教学改革,高职院校电类专业对部分课程进行了教学改革。《数字电路与EDA技术》这门课程就是将数字电路和EDA技术的教学进行整合。

数字电路课程是电类专业的专业基础课,通过对本门课程的学习,使学生掌握典型的数字电路的组成、工作原理和工作特性,能够设计一些逻辑功能电路,并为专业主干课程的学习打下基础。对于数字电路的设计,传统的设计方法是以逻辑门和触发器等通用器件为载体,以真值表和逻辑方程为表达方式,依靠手工调试。随着数字电子技术的迅速发展,特别是专用电子集成电路的迅速发展,基于EDA技术的设计方法成为数字系统设计的主流。EDA技术就是以计算机为工具,在EDA软件开发平台上,使用硬件描述语言完成设计文件,然后由计算机自动完成逻辑编译、化简、分割、综合、仿真等,最终对特定目标芯片进行适配编译、逻辑映射和编程下载。

EDA技术的设计方法正在成为现代数字系统设计的主流,作为即将成为工程技术人员的职业技术学院的电类专业的学生只懂电子技术的基本理论和方法,而不懂如何设计电路,会限制就业的岗位。实际上数字电路和EDA技术是不能分家的,因为前者是理论基础,后者是工具,将两者整合既能学好理论又能提高实践技能。如果作为两个课程分别学习则不适应高职高专的学制长度。因此,将数字电路与EDA技术有机地融为一体是高职教育的要求和未来发展的需求。

二、教学方法探讨

在整合后的课程中我们把EDA技术贯穿于数字电路课程教学全过程。例如,在讲授门电路时,就开始用EDA软件仿真演示,熟悉用原理图输入一个简单门电路的过程,通过编译、功能仿真检验门电路的功能,可以加深学生对门电路知识的理解;在讲授组合逻辑电路时,引入硬件描述语言的设计方法,并介绍基于EDA技术的数字电路设计方法;在讲授时序逻辑电路时,可以引入一些简单的综合性的电路设计,为学生创造一个宽阔的设计空间。在开始讲解基于EDA技术的数字电路设计方法时,可以通过引入简单的数字电路的设计流程,使学生从宏观上对EDA设计方法有一个整体的了解,让学生在潜意识里建立这部分内容的知识框架。下面简单介绍组合逻辑电路中的二选一数据选择器的EDA设计流程:

(1)编写硬件描述语言(以VHDL语言为例)。在EDA编程软件中输入设计源文件,如图1所示。

(2)逻辑编译。逻辑编译过程包括检查设计源文件是否有误,进而提取网表、进行逻辑综合和器件的适配,最后形成编程文件。

(3)功能仿真。通过模拟仿真测试电路的逻辑功能是否达到设计要求,仿真波形如图2所示。

(4)锁定引脚。将程序中各端口名称与硬件电路中的各引脚对应。

(5)编程下载。功能仿真成功后,就可以将设计好的项目下载到逻辑器件中,实现既定的功能。

数字电路论文例7

作者简介:徐银霞(1979-),女,湖北武汉人,武汉工程大学计算机科学与工程学院,讲师。(湖北 武汉 430073)

中图分类号:G642.421 文献标识码:A 文章编号:1007-0079(2013)28-0104-02

“数字逻辑”是计算机专业一门重要的硬件基础课程,其主要目的是使学生掌握数字系统分析与设计的理论知识,熟悉各种不同规模的逻辑器件,掌握各类逻辑电路分析与设计的基本方法,为数字计算机或其它硬件电路分析与设计奠定基础。[1]“数字逻辑”课程教学一般采用课堂教学与实验教学相结合的方式,使得学生掌握数字电路分析与设计的一些理论知识,同时培养学生电路设计、制作与调试以及分析问题、解决问题的能力。学生的学习效果一直是教学当中的重中之重,因此如何有效利用有限的理论与实验教学时间培养学生的综合素质是一个值得探讨的问题。笔者结合多年的教学实践经验,分别对课堂教学和实验教学环节就“数字逻辑”课程的教学方法做一次探讨。

一、“数字逻辑”课程的课堂教学

课堂教学效果直接决定学生理论知识掌握的程度,也影响随后的实验及实践能否顺利进行。在课堂教学中采用任务式教学、课堂讨论、电路仿真演示以及硬件描述语言电路设计等方式进行教学,取得了满意的效果。

1.任务式教学

明确任务,使学生掌握方法,做到举一反三。教学过程中将 “数字逻辑”课程的知识点归纳整理成若干个任务。比如数字电路按逻辑功能分成组合逻辑电路和时序逻辑电路两大类,主要的问题是电路分析与设计两个方面。按电路规模要求重点掌握的是小规模和中规模电路,所以任务主要有小规模组合电路的分析、小规模组合电路的设计、中规模组合集成芯片、中规模组合电路分析、中规模组合电路设计;小规模时序电路分析、小规模时序电路设计、中规模时序集成芯片、中规模时序电路分析、中规模时序电路设计等等。对于每一个问题明确任务,分析解决办法,归纳一般的解答步骤及注意事项,举例证明方法的可行性。比如对于中规模组合芯片的学习,仅以数据选择器为例,引导学生上网查阅芯片资料,阅读资料找出芯片的功能表、输出表达式,逻辑图和引脚图以及典型应用。这样,学生不仅掌握了该芯片的全部知识要点,还可以掌握中规模组合集成芯片这类芯片的学习方法。此后,对于所有此类芯片学生都能够通过自行查找芯片资料来掌握,节约了课堂时间,学生也获得了自主学习的成就感。

2.增加课堂讨论

精讲多练,给予学生充分的讨论时间。为提高学习效果,在提出任务、介绍原理及方法后,布置课堂练习。学生可以一边练习一边自由讨论,已理解的同学在讨论中充当老师,可以加深印象,巩固知识;而没有理解的同学可以在讨论中积极主动地学习,同时也激发了学生后续学习的积极性,比教师反复讲解的效果好。这种方式可以避免“满堂灌”式的教学方式,活跃课堂气氛,创造学习氛围,提高学习兴趣,实践证明取得了良好的效果。

3.电路仿真演示

在数字电路分析与设计的理论教学过程中,很多学生会觉得枯燥且难以理解。借助Multisim11.0仿真软件进行数字电路的模拟和课堂演示,可以直观地显示电路的功能和时序电路的时序波形。比如在讲解中用16进制计数器74161实现12进制计数器时,其中复位法可通过置0或者异步清零两种方法使得计数器从11回0,但置0法必须在计数到1011时使得置数端为0,异步清零必须在计数器为1100时使得清零端为0才能保证计数器为12进制。如果仅用理论讲解学生比较难理解,但通过仿真演示后学生能够恍然大悟。因此仿真软件的使用可以使“数字逻辑”理论课的教学更加生动活泼,而且学生在遇到疑问时也可以通过仿真软件进行验证。学生通过直观的仿真结果,对电路的工作过程进行透彻的分析,提高了学习的兴趣和效率,促进自学能力和创新能力的提高。

4.引入硬件描述语言

硬件描述语言用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,适合大规模系统的设计。在教学的过程中将硬件描述语言Verilog HDL引入课堂,比如在讲解逻辑门、数据选择器、触发器、计数器等基本单元电路的原理之后,给出模块对应的硬件描述语言,演示仿真波形和综合结果。学生从仿真波形中观察信号的逻辑变化,对数字逻辑电路的掌握更加透彻,同时也丰富了教学内容。Verilog HDL语言是一种非常实用的硬件描述语言,易学易用,学生只要有C语言编程基础,便容易掌握。编程也可以实现电路设计,同学们感到非常新奇,将被动学习变为主动学习,提高学习兴趣,取得了很好的教学效果。

二、“数字逻辑”的实验教学

“数字逻辑”是一门实践性很强的课程。[2]通过数字电路设计实验,学生可以基本掌握数字电路的设计、制作与调试步骤,学会借助万用表、示波器等实验仪器排除实验当中遇到的各种故障,从而独立分析设计各种规模的数字电路。实践教学中将传统实验、仿真实验与硬件描述语言设计三种类型实验相结合,三者互为补充,提高实验效果,充分培养学生的综合实践能力。

1.传统实验

传统实验项目一般利用面包板及用中小规模芯片完成电路设计。其接线模式可以使学生直观了解数字电路是如何工作的,从而掌握电路测试、调试以及维修技能。但是部分学生视这一过程为简单的连线工作,往往只注重结果,不重视过程,造成实验课就是反复的接线和碰运气,学生不能驾驭整个实验过程,产生畏难和退缩心里。在实验课前要求学生书写预习报告,自主设计实验方案,进行原理图设计、芯片选型,上网查阅芯片资料,掌握阅读芯片资料的方法,进行实验方法设计,可以避免机械化操作,学会排除故障,增强操作信心。

在实验过程中,学生不可避免地会遇到种种问题,导致实验结果出错:可能是电路设计或连线过程中出现了问题,也有可能是实验设备或实验器材出现了故障。教师应该指导学生借助实验仪器找到故障点,发现问题之所在,并想出解决办法。在未来的实际工作中,学生将会遇到各种各样的问题,而实验课正是锻炼如何解决这些问题的好机会。因此实验中应该向学生讲明排除故障的必要性,并引导其对独立解决各种疑难问题的兴趣,增强其信心,令其克服畏难情绪。一旦学生掌握了排除故障的方法,独立解决了问题,他们就会很有成就感,甚至就此对排除故障产生了浓厚的兴趣。[3]实践表明学生能自主完成所有设计,自主分析讨论实验过程中碰到的问题,逐个排查故障点,最终完成电路调试。

2.仿真实验

传统实验适于以验证性实验为主的一些中小规模电路的构建与测试。对于一些比较复杂的设计性和综合性实验则比较费时,如数字钟、抢答器、拔河游戏机、彩灯控制器等。而且在实验过程中常常因一根导线连接错误、一个连接点接触不良,就致使实验受阻甚至无法完成,给学生以挫折感,影响学生的实验兴趣,不利于动手能力的培养。

Multisim11.0是一个集原理电路设计和电路功能测试为一体的虚拟仿真软件,其元器件库提供了数千种电路元器件供实验选用,其中包含了数字器件。虚拟测试仪器仪表种类齐全,如数字万用表、函数信号发生器、示波器、直流电源、数字信号发生器、逻辑分析仪等,可以设计、测试和演示各种电子电路。[4]采用Multisim11.0软件进行仿真实验,使学生能充分发挥想象力,按照自己的想法创建各种电路,从而摆脱实验箱的束缚。实践证明将Multisim11.0应用于实验教学,能够使学生提高学习的兴趣,增加学习乐趣,充分发挥学生独立思考和创新的能力,提高学生的综合实践能力。

3.硬件描述语言开发数字电路

当数字逻辑电路及系统的规模比较小而且简单时,用电路原理图输入法基本足够了,但是需要手工布线,需要熟悉器件的内部结构和外部引线特点,才能达到设计要求。当电路规模大时工作量会相当大,实验时间往往不能保证。随着可编程逻辑器件的广泛应用,硬件描述语言已成为数字系统设计的主要描述方式,采用硬件描述语言进行数字电路的设计,可以实现从传统的验证性实验到分析设计性实验课的转变。利用Verilog HDL硬件描述语言进行数字钟、抢答器、交通灯控制电路等的设计,要求学生利用课堂知识进行编程、仿真、综合和下载到可编程逻辑器件中运行以观察结果。学生还可以按照自己的想法自行设计其它数字电路进行仿真、下载调试,提高学生学习兴趣和综合实践能力。

此外还通过举办电子设计竞赛、综合设计等方式激发学生的学习兴趣,提高学生自主学习、独立分析问题和解决问题的能力,也提高了学生综合应用的能力,收到了良好的教学效果。

三、结论

数字电子技术的应用已经渗透到人类的各个方面,从计算机到手机,从数字电话到数字电视,从家用电器到军用设备,从工业自动化到航天技术,都采用了数字电子技术。[5]因此“数字逻辑”课程对于计算机及相关专业来说是一门很重要的课程。笔者结合多年的教学实践经验,对“数字逻辑”课程的教学方法进行深入探讨,在课堂教学中采用任务式教学,增加课堂讨论,借助仿真软件进行电路演示,利用硬件描述语言进行复杂数字系统设计;在实验教学中将传统实验、仿真实验和硬件描述语言实验有机结合、互为补充,激发学生的学习兴趣,培养学生的综合能力,取得了很好的教学效果。

参考文献:

[1]康华光.电子技术基础(数字部分)[M].第5版.北京:高等教育出版社,2006.

[2]孙丽君,张晓东,鲁可.“数字电子技术”课程教学改革探析[J].中国电力教育,2013,(13):67-68.

数字电路论文例8

一、三本院校课程教学现状

三本学生中多才多艺的较多,平时开展各种社团活动比较频繁,学生自主创新思维活跃,但能够有条不紊自主学习的学生可能只有一少部分,许多学生对学习没有兴趣,课余时间几乎不学习。在教学过程中,刚开始学生还可以接受一些新知识,但随着教学的深入,学习难度的增大,学生感到了困难,随之学习的兴趣也越来越低,主动学习便是一句空话,学生也就是为了应付考试,甚至不少学生都是考前突击。这一特点在《数字电路与逻辑设计》课程的教学中也同样存在。要提高本课程的教学质量,我们在定位教学目标,设置教学内容,采用教学手段和方法的时候都必须以这一实际情况为前提。

二、教学理念,教育目标

三本教学有别于一本和二本,教学注重于学生应用能力和综合素质的培养,教学过程中突出培养学生应用知识,分析解决实际问题的能力,以学生为主体,以教师为主导,以教学为主线,树立能力培养目标为重中之重的思想,实现人才培养模式多元化,努力培养“宽口径、厚基础、强能力、高素质”,适应国际竞争和社会需求的应用型人才。三本教育要加强通识教育,注重文理渗透理工结合,体现本科教育的基础性和可发展性。努力探索人才培养新举措,深入推进人才培养模式改革,实现多元化人才培养新格局,大力实施“育人为本,全面发展”的人才培养战略,拓宽基础学科的范围和基础教学的内涵。

三、教材选取

考虑到三本学生理论基础较差,教材选取不应选择理论研究或理论推导比较复杂的教

材,否则会让学生还未涉及到重要的知识点就已经因为难度过大而丧失信心。教材选取要以应用为宗旨,强调理论与实践相结合。编写原则遵循由浅入深,通俗易懂,重点和难点采取阐述与比喻相结合,例题与习题相结合,实例与实验相结合,针对数字电路课程实践性强的特点,增加了与教材相应的实践环节教学内容。

四、教学内容

在三本的《数字电路与逻辑设计》教学中,应该注重基础教学,要求学生熟悉布尔代数的基本定律,掌握卡诺图与公式化简法;掌握数字电路中常用的基本单元电路和典型电路构成、原理与应用;掌握常用的中小规模组合逻辑电路和集成电路功能和设计方法。具有查阅集成电路器件手册,合理选用集成电路器件的能力。对集成芯片,重点分析电路的外特性和逻辑功,以一些典型集成电路为例介绍如何查阅集成电路手册、资料等,使学生学会在实际应用中正确选择和使用集成芯片[11]。

对于三本学生而言,在电路设计中要求学生掌握基本的设计方法,但可以适当降低对电路设计的要求,增强电路分析方法的教学。学生可以分析较复杂的电路,并且能够利用已有的电路进行修改,使电路满足自己设计的需要。

五、教学手段与教学方法

(一)采用现代化教学

《数字电路与逻辑设计》课程的特点就是电路图、逻辑图特别多,如果采用板书形式教学,既浪费课堂时间也达不到好的教学效果。教学过程中采用多媒体教学,可以使一些抽象的、难以解决的概念变得形象,易于学生接受。对于集成电路的分析和设计,为了增强演示效果,除了在PPT中添加更多的动画效果外,还可以采用Flash或Authorware软件制作动画效果,使电路的变化过程一目了然。

(二)结合实际教学

在授课过程中,针对三本学生可以结合生活中的应用举例,如目前LCD显示、数字温度计、十字路口交通灯控制、数字频率计、多媒体PC机里的显示卡、声卡是用数电中的数/模(D/A)转换实现图像显示和声音播放、制造业中的数控机床等都应用了数电技术。通过这些实例的介绍,可以使学生真正了解数字电路课程的重要性,从而提高对数字电路学习的兴趣和学习积极性。

(三)网络教学

网络教学可有两种方式,一是上传教师课堂教学过程的视频到校园网;二是教师制作图文并茂的课件,以及与该课程有紧密关系的资料一起上传到网上。目前大部分三本学生宿舍都可以登录校园网,学生可以在任何时间进行网络教学。网络教学的方式解决了学生传统的看书自学枯燥无味的问题。

六、实践教学

实践教学一般分为基础实验和课程设计两大部分。基础实验教学从属于理论教学,实验内容均为验证性实验。教师给出实验步骤、电路图,学生按部就班、验证结果,通过基础实验,使得学生对于课堂所学基本概念和方法的理解和掌握更加透彻,同时培养学生科学实验的精神和方法,训练严格严谨的工作作风。基础实验是理论和实际相互联系的一个重要教学环节,但是仅仅是这种以教师为主导的实验模式,不能激发起学生学习兴趣和积极性,学生仍然不善于综合运用所学知识分析和解决问题。课程设计的目标就是为了加强基础、拓宽知识面、增强学生的自主学习和工程实验能力、发展个性、启发创新、加强理论与实验。学生根据实验任务,自行设计电路和测试方案,增强学生自主学习能力,学生既动脑又动手,解决问题的能力大大提高[12]。

除此之外,还可以设置一些电子设计大赛,成立电子设计兴趣小组,在教师的指导下开展设计性和专题研究性实验,为希望进一步发展的学生提供良好的学习环境和创新研究场所,培养学生的团队协作精神,发挥学生学习的自主性和创造性,极大地提高学生的学习兴趣和动手能力。

七、结束语

随着高等教育的普及,三本学生的数量和质量也在日益增高,同时随着数字技术的广泛

普及,数字化社会已经到来,大规模、超大规模数字集成电路以其低功耗、高速度等特点, 应用越来越广泛。因此如何在有限的时间内使三本的学生扎实掌握数字电路基础知识理论和基本操作技能,培养分析问题、解决问题的能力,是教师在教学过程中需要认真思考的问题。使学生在传统的数字电路逻辑分析、逻辑设计思维训练的基础上进一步建立起现代数字电路的应用与设计思想,掌握现代电子技术的新技术和新器件,为走向实际工作岗位打下坚实的基础。

参考文献

[1] 谢丽.《数字电路与逻辑设计》教学改革实践.吉林省教育学院学报,2012年第02期

[2] 李琰,张翌呖. 数字电路的教学改革与创新.计算机光盘软件与应用,2011年第22期

[3] 李小珉,叶晓慧.深化《数字电路与逻辑设计》课程改革[J].长江大学学报(自科版),2OO4(4)

[4] 田东.数字电路课程设计的改革与探讨.实验技术与管理,2006年05期

[5] 马达灵,张云云.《数字电路》课程教学改革之我见.集宁师专学报,2008年12月第30卷第4期

[6] 邓朝霞.《数字电路》课程整合与优化的改革.广西教育学院学报,2006年第6期

数字电路论文例9

引言

数字电子技术课程是电气、通信、电子信息、计算机、机电等相关专业的一门基础课,此课程工程实践性特别强,是培养学生创新实践能力的一门重要课程。数字电子技术主要分为:组合逻辑电路和时序逻辑电路两部分。在教学中,学生普遍认为数字电子技术相对模拟电子技术容易掌握,课程难度偏小。尽管如此要想扎实掌握数字电子技术这门课程也有一定困难,数字电路多采用封装好的芯片,学生想很好的验证自己的设计结果实施起来比较困难。学生自行设计的硬件系统和软件系统,一般会存在某方面的不足,当给出设计任务后,学生就算能顺利完成,其中所涉及的有些方式也不能在自己的设计中充分领悟。采用Proteus软件进行虚拟数字电路仿真优势明显,如涉及内容全,硬件投入少,可自行实践,过程几乎无损耗,与工程实践最为接近。

Proteus软件是英国 Labcenter Elecronics 公司开发的EDA仿真软件,已有多年历史,该软件集成了原理图布局,仿真工具,PCB设计等功能。可以实现一个完成的电子设计系统。它是一种混合设计仿真工具。Proteus软件由ISIS和ARES两个部分构成,其中的ISIS是一款便捷的电子系统仿真软件,拥有超过8000多个元件库,能很好的完成数字电路相关仿真,仿真结果直观明了。

1 Proteus相关设计实例

文章从计算机辅助教学出发,讨论如何利用Proteus软件提高数字电子技术教学与实践效果。通过Proteus软件构建一些形象、直观的数字电路,演示仿真结果,“虚实”结合,让学生在有限的时间内,对数字电路中的组合、时序逻辑电路分析与设计有充分的理解和掌握。学生能够在很短实践掌握有关功能器件的特别和典型应用,以及注意事项,激发学生的学习热情、提高学生的实践动手能力、工程设计思想等。

1.1 555组成的秒时基电路仿真

秒时基电路是时序逻辑电路中经常使用的单元块电路,可以通过555组成的多谐振荡器构成秒时基电路,只需要选择合适的电阻和电容值就实现。秒时基电路应用非常广泛,交通灯电路系统、LED数字显示的电子表电路等,均需要产生秒时基脉冲电路单元。在Proteus选择大小合适的图纸,建立图形输入仿真文件,根据理论计算确定有关电阻电容数值,选取器件,修改电路参数,连接元器件组成电路。保存并运行仿真文件可得到相关波形如图1。通过波形可以很直观的看出设计是否满足要求为1秒的时基电路,同时修改有关电阻值可以在周期为1秒的前题下改变脉冲的占空比。使学生充分理解多谐振荡电路,并可以根据实际需要产生不同周期和占空比的脉冲,激发学生对555电路的深层次的学习,从真正意义上认识555电路特性,验证其组成设计单谐、多谐振荡电路以及有实际应用的触发报警、脉冲产生等电路。

1.2 译码显示电路仿真

显示器件是数字电路一个重要部分,其中LED数码管应用尤为广泛。本例通过译码芯片74LS47和共阳极数码管来完成译码电路和显示电路的内容。图2中输入数字为013(采用2进制0000 0001 0011)通过译码器件,译出相应7段ABCDEFG的高低电平,译码芯片与共阳极数码管连接,最终正确的输出相关显示内容。同学还可以利用其它译码芯片CD4511、74LS48和共阴极数码连接,在此基础上还可以加入计数器,脉冲电路,这样就能实现脉冲的自动计数及显示,效果直观。进行完一整套设计学生很有成就感,能进一步激发学生的学习兴趣。

图2 LED数码管构成的译码显示电路

2 结束语

利用Proteus仿真软件,在数字电子技术的教学中有着广阔的应用天地,不仅可以利用基本门电路、触发器设计相关电路,还可以利用功能芯片,进行单元电路,数字系统电路仿真,进一步结合单片机构成软硬件相结合的系统。由于篇幅有限,文章不能列举很多实例,这里仅仅起抛砖引玉的作用。此种教学方式能很大的激励学生学习主动性,改善填鸭式教学模式,让学生作为学习的主导着,真正使学生把所学的理论知识,转化为实践能力,由被动学习变为主动学习,由接受式学习,变为开创式学习。

参考文献

[1]覃桢,胡俊玮,刘文佳.Proteus仿真在数字电路中的项目教学探究[J].电子制作,2013.5.

数字电路论文例10

中图分类号:G642 文献标识码:B

1引言

“数字电子技术”是计算机专业学生必修的一门专业基础课。本课程的主要目的是使学生掌握数字系统分析和设计的基本知识与原理,熟悉各种不同规模的逻辑器件,掌握各类逻辑电路分析与设计的基本方法,为数字计算机和其他数字系统的硬件分析与设计奠定坚实的基础。

为了使学生能够真正将课本上的理论知识与实际的数字电子技术电路融会贯通,我校“数字电子技术”课程组授课教师在课堂教学的基础上,精心组织、设计该课程的相关实验,让实践教学环节成为理论教学的有力支撑,使学生更好地将理论与实际结合,高效率地吸取本学科的前沿知识。

2实验教学现状

数字电子技术主要包括小、中和大规模数字电路的分析与设计、可编程逻辑器件和现场可编程门阵列器件、数字系统分析与设计。其教学侧重整个电路的逻辑功能及其应用。在以往相当长一段时间内,由于不具备支持大规模实验的设备,数字系统仿真软件也不成熟,因此国内大多高校只基于“SD―2型数字电子技术实验设备”开设了传统的中、小规模电路的实验,均未开设体现现代电子技术的中、大规模电路的实验。这导致本课程的理论不能全面与实验交融,更不能体现现代数字电子技术的核心,显然不利于学生接受该门课的知识,也与计算机技术的发展格格不入。

近年来,多功能专用硬件实验设备的投产给“数字电子技术”课程提供了全方面的基础实验平台,使“数字电子技术”大规模硬件实验的开设具备了基本条件。另外,为了让学生掌握EDA核心技术,一种可行的方式是在PC机上利用VHDL实现硬件功能,仿真其工作过程,根据时间波形图分析、改进和完善逻辑电路。这种软件仿真模式为学生掌握现代技术,适应社会需求提供了极大的帮助。

3实验教学目标

对逻辑变量、逻辑运算、逻辑函数、逻辑电路的感性和理性认知,能描述各种逻辑问题,并基于逻辑设计和物理设计的层次给予实现,这是学生在“数字电子技术”课程中必须达到的水平。为此,授课教师在给学生提供理性认知帮助的基础上,必须精心设计配套的实验课程,让学生通过实践环节透彻感性地掌握教学内容,进而掌握数字逻辑电路的设计与实现方法,以及性能分析、评价的手段。

“数字电子技术”实践教学内容的设计是在验证性实验和开放性课程设计并存、“软硬兼施”的模式下实施的,目标是使学生感性地认识、理解数字逻辑的基本概念、并能灵活运用,还能掌握先进的数字电子技术,实现复杂数字电路的分析和设计。

4实验教学内容

为了使学生全面地了解和掌握数字电子技术,在具体实践中加深对所学理论知识的理解,针对“数字电子技术”课程教学中的重点难点,我们安排了两类实验:基于硬件的验证性实验和基于软件仿真的实验。

4.1硬件实验

硬件实验采用了浙江大学方圆科技有限公司开发生产的“SD-2型数字电子技术教学实验系统”实验箱作为实验平台,用来达到验证性实验的目的。本课程选择开设如下硬件实验。

① 集成逻辑门的测试

实验目的:掌握TTL集成与非门逻辑功能的测试方法;了解TTL与非门主要参数的意义及其测试方法;学习用与非门实现其他逻辑门的逻辑功能。

在这个实验中,要求学生74LS00芯片完成TTL与非门的逻辑功能,高低电压等测试,以及实现与、或、非、异或等逻辑关系,达到感性理解逻辑变量、逻辑运算的目的。

② 利用SSI设计组合逻辑电路

实验目的:掌握用SSI器件设计组合逻辑电路的基本方法;学习用给定的SSI器件设计组合逻辑电路。

在这个实验中,要求学生利用74LS10等小规模芯片实现一个保密电子锁、产品质检器和一个灯控电路,达到掌握小规模组合逻辑设计的能力。

③ 利用MSI设计组合逻辑电路

实验目的:掌握用MSI器件设计组合逻辑电路的基本方法;学习用给定的MSI器件设计组合逻辑电路。

在这个实验中,要求学生利用74LS138、74LS151和74LS283等中规模芯片实现一个数字判断电路、多功能组合逻辑电路和一个代码转换器,达到掌握中规模逻辑设计的能力。

4.2软件仿真实验

如前所述,数字电子技术的硬件实验只能提供中、小规模电路的设计,而且实用数字逻辑器件的电路一般较复杂,连线繁多,学生在实验中极易出错,且难以及时查错,导致实验效率不高,同时无法实现大规模电路的设计,也不能为学生提供掌握现代数字系统设计技术的条件。为此,我们在硬件实验的基础上采用MAX+PLUSⅡ在PC上进行仿真,实现各种规模的数字电路和简易系统。这既能开拓学生的创新设计能力,又方便可行。具体开设的实验分别在验证性实验的后阶段和开放性课程设计阶段完成,具体来说以下实验①②为实验内容,③④⑤为课程设计内容。

① 移位寄存器

实验目的:掌握软件MAX+PLUSⅡ的安装方法;掌握软件的初步使用方法;掌握原理图输入方法;熟悉FPGA设计流程和相关步骤的主要命令;掌握移位寄存器的逻辑功能及其测试方法;学习移位寄存器的应用。

在这个实验中,要求学生在PC机上用MAX+PLUSⅡ验证74LS194芯片的功能,并用来实现环行脉冲分配器、分频器,达到熟练运用MAX+PLUSⅡ的水平。

② 计数译码显示电路

实验目的:进一步熟悉软件的使用和原理图输入法FPGA设计流程;掌握层次设计方法;掌握中规模TTL集成计数器74LS161的逻辑功能及其应用;学习使用74LS48BCD―7段译码/驱动器和共阴极七段显示器;学习设计计数译码显示电路,并了解其计数、译码显示过程。

在这个实验中,要求学生用层次设计方法,先用74LS00、74LS161和74LS48等芯片实现模10的计数器,再级联扩展成模100的计数器,并用十进制显示,达到能设计中规模时序电路的能力。

③ 数字电子钟的设计

实验目的:熟悉使用VHDL文本输入法设计PLD芯片的流程;学习数字电子钟的秒信号源及秒、分、时、星期计时器的设计;学习秒、分、时、及星期译码,显示电路的设计;掌握对波形图的量化分析方法以及调试技术,提高设计能力。

在这个课程设计实践中,让学生主动学习,开拓创新,在老师的指导下掌握方便的VHDL文本设计方法。

④ 控制器的设计

实验目的:掌握控制器的ASM图描述方法;熟练掌握控制器VHDL文件的建立。

在这个课程设计实践中,学生可以利用各种资料学习现成的程序代码,并加以改进后实现自己确定的控制功能,实现大规模集成电路的设计,达到训练学生收集资料和合理运用资料的能力。

⑤ 十字路通管理器

实验目的:进一步掌握ASM图的应用;编写十字路通管理器的VHDL文件;深入理解层次化描述的基本要领;进一步掌握对时间波形图的量化分析方法。

以上课程设计内容只是学生在选题时的一个启发,抛砖引玉,学生还可以自由发挥,结合实际应用和自己的兴趣确定很多实用有效的选题,并完成相应的任务。

5实验教学效果

实践教学环节的实施表明,“数字电子技术”实验课程很受学生欢迎。以上硬软两部分实验将传统简单的数字电子方法和现代先进的数字电子技术有机地结合起来,让学生既深入理解了成熟的理论,又掌握了现代化数字电路的开发技术。特别是基于软件仿真的课程设计,调动学生的自主创新激情,学生将抽象的理论与实际相结合,对书本的理论知识有了更深入、更具体的认识,并掌握了量化分析方法,最后达到从数字逻辑部件整体功能的角度来研究、评价数字系统的目的,这使得学生在学好“数字电子技术”专业基础课后,为后续的课程学习打下坚实的基础。

 本门课程的实践教学从06级学生开始改革实施。06级学生在“数字电子技术”的实践教学环节中表现出强烈的兴趣和积极性,他们按照要求很好地完成了每一个实验。在调查问卷中,学生们对于“数字电子技术”课程的实践教学给予了高度认可。

调查问卷中也体现出学生对软件仿真实验的兴趣和收获大于硬件实验。经分析,原因在于硬件实验平台对实验内容有一定的局限,没有软件仿真实验那么充分的设计和创新空间,也不如仿真实验那么灵活方便。

6结束语

我校“数字电子技术”课程组在教学中,本着理论结合实践的宗旨,突破传统数字电子技术实验平台的局限,

开设各类规模电路的设计,软硬兼施,提供可行的实验环境,设计可操作的实验内容。实施效果表明它很好地支撑了理论教学,有利于培养学生分析问题和解决问题的能力,开拓了学生的创新思维。

下一步我们将着重研究和设计如何把模拟仿真正确的代码、文档下载到CPLD,使其更有设计性和创新性,为“数字电子技术”课程的教学构建更有利的实验平台。

参考文献:

[1] 欧阳星明. 数字逻辑(第二版)[M]. 武汉:华中科技大学出版社,2005.